Intel ® Technology Journal Intel ® Pentium ® 4 Processor on 90nm Technology Library Architecture Challenges for Cell-based Design Library Architecture Challenges for Cell-based Design Cell-based Design Flow
نویسندگان
چکیده
The use of CBD in the Intel Pentium 4 processor on 90nm technology enabled large “sea-of-cells” designs for improved global optimization and more rapid design convergence. Pre-qualification of the cells and a reduced amount of unique layout contributed to the quality control for the product. The CBD library also aided estimation of chip floor-plan and architectural trade-offs. Early explorations of library architecture in conjunction with 90nm technology pathfinding helped us evaluate the impact of the technology on circuits. The Intel Pentium 4 processor on 90nm technology is the first Intel microprocessor whose significant portion (~50% of the non-cache devices) was designed using a Cell-Based Design (CBD) methodology. In the CBD methodology, Electronic Design Automation (EDA) tools are used with a library of standard cells to build up a large and complex design. This paper describes the challenges involved in designing a standard cell library to enable the CBD methodology to be applied on a large scale on a chip with an aggressive performance target. Factors critical in enabling CBD on the Intel Pentium 4 processor included the breadth of library content, the physical architecture and design guidelines of the cells, the circuit optimization methodologies, and the functional validation of the cells. In addition to these design concerns, careful modeling for timing, noise, reliability, formal verification, and place and route were required. In this paper, we present an overview of the CBD flow, and we discuss these cell library design and modeling issues. The quality of the library plays a key role in producing a competitive design with the CBD methodology. The goal of this paper is to present some of the technical challenges in cell library design and modeling faced by the designers. CELL-BASED DESIGN FLOW The Cell-Based Design (CBD) flow for the Intel Pentium 4 processor consisted of four basic steps: 1. Netlist generation INTRODUCTION 2. Cell placement Cell-Based Design (CBD) refers to a design approach that uses a library of basic building blocks called cells. Using cells from the library, larger, more complex functions are realized. In contrast to transistor-level in situ customization of cell designs [1], the cells are treated as black box entities by the design and verification tools and are fully characterized for timing, noise, reliability, etc. 3. Routing 4. Design verification Due to the very aggressive performance targets of the Intel Pentium 4 processor and other constraints specific to portions of the design, varying degrees of automation were used. Gate-level netlists were created both by directly synthesizing Register Transfer Level (RTL) code and by manually drawing schematics. Cell placement and interconnect routing were generated using both automatic techniques and manual specification. Design verification Intel and Pentium are registered trademarks of Intel Corporation or its subsidiaries in the United States and other countries. Library Architecture Challenges for Cell-Based Design 55 Intel Technology Journal, Volume 8, Issue 1, 2004 included domains such as logic, timing, noise, and reliability. One of the main challenges in the CBD flow was design convergence. Standard circuit and layout techniques were applied to solve timing problems including max-delay, min-delay, and max slope. Wire-spacing, shielding, buffer sizing, and other solutions were used to address noise issues. Reliability convergence for electromigration and self heat was achieved mainly through slope fixing, wire sizing, and thermal simulation. In addition, the CBD flow had to automatically perform design completion tasks such as scan insertion, scan chain hook-up, clock tree synthesis, and sizing of clock buffers. The CBD flow was used across the board on the Intel Pentium 4 processor to implement a variety of design blocks. Some designs such as cache, register files, domino and analog circuits were implemented using custom techniques. Table 1 shows the percentage of area, transistor count, and cell count in the CBD and non-CBD sections of the chip, where cell count in the non-CBD areas refers to the number of custom cells. Table 1: CBD usage in the Pentium 4 processor
منابع مشابه
P-95: Flow Cytometry Analysis of Bovine Semen:A Qualitative Study
Background: Although AI practices have been introduced little over 60 years, the success rate remains relatively low. This might be due to the exclusive selection of semen based on motility analysis. Recent advancement in sperm sexing using flow cytometry with an increased throughput from next generation cell sorters, made use of this technology in studding sperm qualitative aspects other than ...
متن کاملPre-Silicon Validation of Hyper-Threading Technology
Hyper-Threading Technology delivers significantly improved architectural performance at a lower-thantraditional power consumption and die size cost. However, increased logic complexity is one of the trade-offs of this technology. Hyper-Threading Technology exponentially increases the micro-architectural state space, decreases validation controllability, and creates a number of new and interesti...
متن کاملA novel vedic divider based crypto-hardware for nanocomputing paradigm: An extended perspective
Restoring and non-restoring divider has become widely applicability in the era of digital computing application due to its computation speed. In this paper, we have proposed the design of divider of different architecture for the computation of Vedic sutra based. The design of divider in the Vedic mode results in high computation throughput due to its replica architecture, where latency is mini...
متن کاملA novel vedic divider based crypto-hardware for nanocomputing paradigm: An extended perspective
Restoring and non-restoring divider has become widely applicability in the era of digital computing application due to its computation speed. In this paper, we have proposed the design of divider of different architecture for the computation of Vedic sutra based. The design of divider in the Vedic mode results in high computation throughput due to its replica architecture, where latency is mini...
متن کاملASIC Design of Butterfly Unit Based on Non-Redundant and Redundant Algorithm
Fast Fourier Transform (FFT) processors employed with pipeline architecture consist of series of Processing Elements (PE) or Butterfly Units (BU). BU or PE of FFT performs multiplication and addition on complex numbers. This paper proposes a single BU to compute radix-2, 8 point FFT in the time domain as well as frequency domain by replacing a series of PEs. This BU comprises of fused floating ...
متن کاملذخیره در منابع من
با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید
عنوان ژورنال:
دوره شماره
صفحات -
تاریخ انتشار 2004